声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 2808|回复: 0

信号输入模块 信号输出模块 信号输出/输入I / O模块

[复制链接]
发表于 2011-11-14 21:01 | 显示全部楼层 |阅读模式
其它产品
产品名称: 信号输出模块 I / O模块
型号规格: Speedgoat
生产单位: 上海葩星信息科技有限公司
主要功能: 实时应用,控制系统应用
核心特点: -
主要指标: -
图片展示: -

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
上海葩星信息科技有限公司专业销售Speedgoat 信号输入模块 信号输出模块  I / O模块
。葩星公司是Speedgoat 长期合作代理商。
这些I / O模块获取模拟信号(输入),并产生模拟输出信号(输出)。各种模块提供输入或输出通道,分辨率,采样模式,转换率,以及由此产生的驱动程序,因此,目标应用程序的延迟时间差异。
不同的模拟I / O模块是:
  I/O module
  Res
Sampling mode
Analog input channels
Analog output channels
Digital channels
  IO101
  16-bit
AD: Sequential
DA:Simultaneous
32 single-ended or16 ifferential
8 single-ended
16 individually electable as input or output
  IO102
  16-bit
AD: Sequential
DA: imultaneous
32 single-ended or 16 ifferential
4 single-ended
8 input and 8 output
  IO103
  16-bit
AD: Sequential
DA: imultaneous
16 single-ended or 8 differential
8 single-ended
  -
  IO104
  16-bit
AD: imultaneous DA: imultaneous
8 single-ended or 8 differential
4 single-ended
8 input and 8 output
  IO105
  16-bit
AD: Sequential
64 single-ended or 32 ifferential
  -
  -
  IO106
  16-bit
AD: imultaneous
64 single-ended or 32    differential   
  -
  -
  IO107
  16-bit
  DA: Simultaneous
  -
  16 differential
  -
  IO108
  16-bit
  DA: Simultaneous
  -
  8 differential
  -
  IO109
  24-bit
  AD: Simultaneous
  12 differential
  -
  -
  IO110
  16-bit
  DA: Simultaneous
  -
  32 single-ended
  -
  IO111
  16-bit
  DA: Simultaneous
  -
  16 single-ended
  -
  IO112
  18-bit
  AD: Simultaneous
  4, 8, 16, or 32, differential
  -
  -
  IO113
  18-bit
  DA: Simultaneous
  -
  8 single-ended or
8 differential
  -
公司除销售Speedgoat 音频XLR接口板  XLR音频平衡板  Speedgoat 音频平衡板 Audio XLR Panels外,其他的主要I / O接口有:高速数据传输接口,通用设备通信I / O接口,异步/同步串行I / O接口,QAD的代码模块IO3xx FPGA的I / O模块,并行数字I/ O接口,模拟信号输入输出I / O接口,音频/语音模拟I / O模块.
回复
分享到:

使用道具 举报

您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-4-26 04:38 , Processed in 0.108252 second(s), 20 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表