声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 1462|回复: 2

急问!!关于simulink仿真波形的问题(已解决)

[复制链接]
发表于 2006-10-18 14:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
现象:使用matlab/simulink仿真时,当仿真时间设置为0-1us时,scope能显示全部时间段的波形,且波形正常;而当我设置为0-10us的时候,scope就只显示7us-10us时间内的波形,但也是正常的

问题:有没有人碰到过同样的问题,是什么造成的呢?
            是不是7us之前没有运算?
            怎样才能正常工作呢?

谢谢!

[ 本帖最后由 derknand 于 2006-10-19 13:26 编辑 ]
回复
分享到:

使用道具 举报

发表于 2006-10-20 15:58 | 显示全部楼层
请问楼主是怎么解决的阿,我做得时候也出现了,我设置0-100s,却显示了后5s的波形。
发表于 2006-10-21 09:31 | 显示全部楼层
把scope属性中的Limit data points to last去掉或者设置的大点

评分

1

查看全部评分

您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-9-30 13:29 , Processed in 0.064287 second(s), 19 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表