声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 1321|回复: 2

求助!逆变主电路出现的问题!万分感谢!

[复制链接]
发表于 2007-3-27 09:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
请看附件中,仿真结果中逆变出来的交流波形中负半轴为什么会回零?simulink中的仿真应该是理想的吧?会不会出现单臂直通的情况?

万分感谢!

主电路

主电路

波形

波形
回复
分享到:

使用道具 举报

发表于 2007-3-28 22:02 | 显示全部楼层
你的信号发生器本身就是单向的,所以产生的波形也只有一个方向
发表于 2007-3-29 01:11 | 显示全部楼层

建议用repeating sequence Stair代替信号源

建议用repeating sequence Stair代替信号源
您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-5-17 16:30 , Processed in 0.061288 second(s), 22 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表