声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 3737|回复: 4

[基本模块类] 求助:在simulink中如何产生脉冲信号?

[复制链接]
发表于 2008-5-12 15:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
请问:在simulink中如何产生一个周期为0.1s,占空比为0.1的脉冲信号啊?谢谢
回复
分享到:

使用道具 举报

发表于 2008-5-12 20:07 | 显示全部楼层

回答

在sources下的pulse Generator
 楼主| 发表于 2008-5-13 08:50 | 显示全部楼层
我也是用pulse Generator模块,不过产生的只是占空比不同的方波信号,并且在它的下降沿延时很大,不是一条直线;还有其他什么模块产生的波形比较好啊?
发表于 2008-5-15 15:16 | 显示全部楼层
用一个阶越信号,再把这个信号延时0.1s,然后把源信号和延时后的信号相减,得到脉冲信号

评分

1

查看全部评分

发表于 2008-5-23 15:42 | 显示全部楼层
楼上的朋友。我向请教如何检测得到这样一个脉冲信号的上升沿的时间呢?
您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-5-14 21:15 , Processed in 0.054694 second(s), 22 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表