声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 2535|回复: 5

关于仿真图像输出问题

[复制链接]
发表于 2006-2-24 22:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
仿真时出现问题:例如仿真二阶系统,输出图形应该是从0秒开始,可是实际仿真图形上,却是从1秒的位置开始,不知是何缘故?请各位帮忙。谢谢
jE3vM68d.bmp
回复
分享到:

使用道具 举报

发表于 2006-2-25 19:06 | 显示全部楼层

回复:(yangli68)关于仿真图像输出问题

是不是在1秒才触发的?把模型贴出来
发表于 2006-3-29 23:40 | 显示全部楼层
是不是输入给定信号1s时才开始动作的?
发表于 2006-10-14 11:43 | 显示全部楼层

Simulink图像的输出问题

为什么用MTALAB的Plot绘制的函数与示波器的图像不一致问题如下:用OUT输出到Matlab命令窗口,用Plot(tout,yout)绘制的图形从2.5开始有图像,与示波器的从零开始的不一样,X中的坐标范围为2-10,AUTO,更改后再画又恢复原样,然而用Sim to Workspace和Clock,在绘制与示波器的一样。请问高手如可处理或更改设置?谢谢!
发表于 2006-10-14 13:09 | 显示全部楼层
是不是限制了示波器的显示点数,simulink中的示波器默认的是5000,可以取消限制。
另外在simulink的Data input/export中默认的是1000。
发表于 2006-10-14 21:22 | 显示全部楼层
修改以下simulink的仿真参数limit data points to last 的值就可以了
您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-9-21 17:54 , Processed in 0.068545 second(s), 22 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表